TheAdvancedMicrocontroller Bus Architecture (AMBA), developed by ARM, is a widely adopted open standard that facilitates high-performance, low-power communication between functional blocks in System-on-Chip (SoC) designs. It enables modularity, scalability, and reusability in SoC development through a family of protocols including AHB (Advanced High-performance Bus), AXI (Advanced extensible Interface), and APB (Advanced Peripheral Bus). Among these, APB is specifically designed for connecting simple, low-bandwidth peripherals such as UARTs, timers, and GPIOs due to its low complexity and reduced power consumption.This work presents the Design and Implementation of 32-bit AMBA APB Protocol using Cadence, showcasing a complete digital VLSI design flow. The project encompasses RTL coding in Verilog HDL, functional verification using testbenches, and synthesis using Cadence Genus to evaluate area, power, and delay reports. Following synthesis, the design undergoes placement and routing in Innovus, resulting in a GDSII layout generation. Post-synthesis and post-layout analyses are carried out to ensure that the design meets performance and physical constraints. The final GDS file confirms successful implementation from RTL to layout, making the protocol ready for silicon realization. This project demonstrates the integration of bus protocol design within a professional EDA environment, reflecting industry practices in hardware development and physical design.
Introduction
System-on-Chip (SoC) designs increasingly rely on efficient, low-power internal communication protocols. ARM’s AMBA standard, particularly the Advanced Peripheral Bus (APB), addresses this by providing a simplified, low-bandwidth interface for peripherals like UARTs, timers, and GPIOs. APB’s straightforward master-slave protocol uses key signals to ensure synchronized, deterministic data transfer with minimal power and area overhead.
This work focuses on the design and implementation of a 32-bit AMBA APB protocol using Verilog HDL, verified through simulation and synthesized with Cadence Genus, followed by physical layout in Cadence Innovus. The project addresses key challenges such as protocol correctness, timing closure, and physical constraints to produce a silicon-ready design.
The APB master controls communication by selecting slaves and managing read/write operations, while slaves respond with data or error signals. The design incorporates a finite state machine to manage APB states and uses a 32x32-bit memory block in the slave for data storage. Functional verification through a Verilog testbench confirms protocol compliance and correct operation.
Synthesis results demonstrate the design’s efficiency with a total area of about 20,086 µm², positive timing slack, and power consumption under 200 µW. The physical design flow includes floorplanning, placement, clock tree synthesis, routing, and optimization, culminating in a GDSII layout ready for fabrication.
This comprehensive, industry-standard approach provides a valuable educational and practical reference for low-power peripheral communication IP design within modern SoCs.
Conclusion
In this project, the design and implementation of a 32-bit AMBA APB (Advanced Peripheral Bus) protocol were successfully carried out using Verilog HDL for RTL modeling and Cadence tools for synthesis and physical design. Verification was performed through an efficient Verilog Testbench, which tested various operational scenarios including Read, Write, and Error cycles, ensuring the functional correctness of the protocol. The testbench incorporated reusable tasks and self-checking mechanisms for validating different data paths and memory operations. The integration of design and testbench through interface logic allowed seamless simulation and verification. Random data and index-based test cases were used to verify the robustness of the design. Simulation results confirmed that the data retrieved during the read cycle accurately matched the data written, confirming the correctness of the protocol behaviour. The complete flow from RTL to GDSII was executed using Cadence Innovus, covering steps such as synthesis, floor planning, power planning, placement, clock tree synthesis (CTS), routing, and final GDSII generation. The final layout was verified for design rule correctness and functional equivalence.
This work demonstrates a full-cycle implementation of the AMBA APB protocol, from design and verification to physical realization. For future scope, low-power design techniques can be incorporated to further enhance the efficiency and performance of the APB protocol in SoC environments.
References
[1] SanthiPriyaSarekokku , K.Rajasekhar,“ Design and Implementation of APB Bridge Based on AMBA AXI 4.0”, Volume 01, Issue 09 (November 2012)
[2] Shankar,DiptiDiptiGirdhar and NeerajKr.Shukla, “Designand Verification of AMBA APB Protocol”, International Journal of Computer Applications (IRJET), 95(21):29-35 DOI:10.5120 /16720-7047.
[3] J Mukunthan,Daniel Raj Androse, S Keerthivandana, R Kiruthika,TShruthi and S Snegasri “Design and Implementation of AMBA APB Protocol”, IOP conference Series Materials Science and Engineering, 1084(1):012050. DOI: 10 .1088/1757-899X/1084/1/012050.
[4] T. Koundinya, “Design and Implementation of AMBA based AHB AHB2APB Bridge”, IOSR Journal of VLSI and Signal Processing (IOSR-JVSP), Volume 7, Issue 3, pp. 42-45, 2017, Volume 11, Issue 06 (June 2022).
[5] G Prathibha .AmbikaSekhar, “APB Bridge Based on AMBA 4.0”, Volume 02, Issue 09 (September 2013).
[6] ARM, \"AMBA Protocol Specification 4.0\" www.arm.com, 2010.
[7] ARM, \"AMBA APB Protocol Specification Version 2.0\", www.arm.com
[8] M. kiran Kumar, Amrita Sajja, Dr, FazalNoorbasha, Design and FPGA Implementation of AMBA APB bridge with clock skew minimization Technique (IOSR-JVSP),Vol.7,Issue.3,June 2017
[9] Fei Hong, AviralShrivastava, and Jongeun Lee, Return Data Interleaving for Multi-Channel Embedded CMPs Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 20, No. 7, July 2012, pp 1351-1354.
[10] Chenghai Ma et.al., , University of Bologna, Forlì, Italy, Design and Implementation of APB Bridge based on AMBA 4.0, in Satellite Navigation Technologies and European Workshop on GNSS Signals and Signal Processing (NAVITEC), 2010 5th.
[11] Shrivastav, A. , et. al., Dept. of Electron. & Comm., Priyatam Inst. of Technol. & Manage., Indore, India, Performance Comparison of AMBA Bus-Based System- On-Chip Communication Protocol, International Conference on Communication Systems and Network Technologies, 2011,pp 449-454.
[12] Muhlbach,S., et. al., Hamburg Univ. Of Technol., Hamburg, Secure And Authenticated Communication In Chip-Level Microcomputer Bus Systems With Tree Parity Machines, International Conference On Embedded Computer Systems: Architectures, Modeling And Simulation, 2007, Pp 201-208.
[13] Muhlbach,S., et. al., Hamburg Univ. Of Technol., Hamburg, Secure And Authenticated Communication In Chip-Level Microcomputer Bus Systems With Tree Parity Machines, International Conference On Embedded Computer Systems: Architectures, Modeling And Simulation, 2007, Pp 201-208.
[14] Benini, Roberto Zafalon, Scalability Analysis of Evolving SoC Interconnect Protocols, Int. Symposium on System-on-Chip, 2004. LukaiCai, Daniel Gajski, Transaction level modeling: an overview, in Proceedings of the 1st IEEE/ACM/IFIP international conference on[ Hardware/software codesign and system synthesis, October 2003].
[15] S.Nithya,S.Shobaa,“RTL to GDSII Implementation using Cadence Tool ”, IJARCCE, Volume 6, Issue 4, April 2017
[16] G. Dineshkumar, S. Muthuselvi,“ ASIC Implementation of 32-bit AMBA APB Protocol”,International Journal of Engineering Research and Technology (IJERT), Vol. 6, Issue 3, 2018.
[17] Dr.S.UmaMaheswari, M. Jothimani, “A Study on ASIC Physical Design Flow using EDA Tools”, Conference: IEEE International Conference on Circuits and Systems (ICCS), 2019.